如何讓數碼管顯示出不一樣的數值,如何讓8個數碼管顯示出不一樣的數值

時間 2021-09-01 18:40:14

1樓:閃金鎮學徒

數碼管的顯示分為兩種方式:一種靜態顯示,一種動態顯示。

以8個數碼管顯示「12345678」為例,說明如下:

靜態顯示:8個數碼管同時點亮,顯示的內容就是「12345678」。這種方式比較「笨」,容易理解,但是佔用的資源較多。

因一個數碼管有7個段,電路則包含1個公共端和7個筆畫端,要顯示一個數字,需要8個電平輸入控制口,8個數碼管就需要8*8=64個。顯然,這種方式不適合顯示多位數。

動態顯示:8個數碼管依次顯示,首先第1個顯示「1」其餘7個不點亮,然後第2個顯示「2」其餘7個不顯示……依此類推,迴圈顯示1至8位數字。由於人的眼睛識別閃爍的頻率是有範圍的,當8個數碼管迴圈顯示的頻率足夠高,人眼觀察不到單個數碼管的間斷點亮,看到的現象是第1個數碼管一直顯示「1」,第2個一直顯示「2」……第8個一直顯示「8」,因此實現8個數碼管顯示不同的內容。

動態顯示相比靜態顯示佔用的電平控制埠要少很多,因不同的數碼管之間可以公共埠,例如7個筆畫端共用,則只需要7個筆畫端+8個公共端,15個埠即可。通常數碼管顯示都是採用的動態顯示方式。

以上,不知道說清楚了沒?~

2樓:匿名使用者

通常8個數碼管,都是採用動態顯示電路的,也就是逐位點亮1ms~2ms,不停地輪流顯示每一位,最終看到的是8個數碼管全顯示了,每一顯示的數當然是不一祥的了。

下圖是用兩片鎖存器驅動的8個數碼管電路。

怎樣讓數碼管顯示2個不同的數字?

3樓:無風的大浪

先讓來你的第一個數碼管顯示要

源顯示的第一個數字,其他數碼管關閉;

然後關閉第一個數碼管顯示;

然後讓你的第二個數碼管顯示要顯示的第二個數字,其他數碼管關閉;

然後關閉第二個數碼管;

然後讓第三個數碼管顯示要顯示的第三個數字,其他數碼管關閉;

然後關閉第三個數碼管;

…………

依次類推,到最後一個後返回到第一個重新顯示。

當顯示的速度足夠快的時候,你就看到所有的數碼管都亮起來,顯示了不同的數字。

這個叫掃描顯示。

當你從頭掃到尾的頻率在25hz之上的時候,看到的就都亮了,當然如果之後25hz會感覺到抖動。

至於能跑到多少hz要看你微控制器的速度了。

我們電腦顯示屏一般在60hz。

用verilog程式設計,如何四個八位數碼管上顯示不同的數字? 50

4樓:yyy遊城十代

雖然是2023年的問題,但是我現在也遇到了這個麻煩,回答留在這幫助一下以後遇到這個問題的人。同時顯示不同的數我能想到一種方法,但是需要的是fpga的支援,這種方法需要在每個數碼管前面放一個鎖存器,用這個鎖存器向這個數碼管提供顯示資料,當需要修改數碼管顯示的時候,更新鎖存器內容就行了,這種方式也稱為直流法(直接驅動);還有一種不同時顯示但是可以給人一種同時顯示的感覺,那就是先顯示第一個,再顯示第二個,再顯示第三個,再顯示第四個,只要時間間隔夠短,在人們看來就是同時顯示,這種方法不需要鎖存器的支援,因為我們本來就是一次顯示一個,這種方式稱為動態掃描

5樓:匿名使用者

你咋還不懂呢,你四個管子輸出相同的原因在於你四個管子的輸入接的是一樣的,你想輸出不一樣只有二種辦法,一種是四份輸入分別接四個管子,一個是1份輸入擴大線寬,每個管子接不同的線位。也就是相當於一個mux。

怎麼用C語言程式使雙位數碼管顯示出穩定的數字

可能原因 1.你的延時時間可能太短,超過人眼視覺暫留時間,你可以改你的delayms 3 或者直接把delay 函式裡的110改小一些 當然改了110就不是1ms一次了,不過本身就不是精確計時無所謂 2.你的數碼管開關順序不對,不知道你用的是共陰還是共陽,不過一般是寫完資料後再開數碼管控制位,如果你...

怎麼讓中要想在每顯示不一樣的頁首頁尾

需要對每一頁插入一個分隔符,使得每一頁變成獨立的章節,然後將每個章節的連結到前一個頁首取消,這樣就可以使每頁顯示不一樣的頁首頁尾。然後點選頁首和頁尾工具欄中的 連結到前一條頁首 將這個連結取消,這樣就可以在每一頁輸入不同的頁首了。word作用 行距 段落對齊 建立清單列 定位點設定 使用頁頭及頁尾加...

雙屏如何讓兩個顯示器的桌面背景不一樣

遠巨集 win10雙屏顯示兩屏內容不一樣,一屏只顯示桌布,是設定錯誤造成的,解決方法如下 1 首先,右鍵單擊桌面,在彈出選單中選擇顯示設定。2 然後在opendisplay設定中,您可以看到當前有兩個監視器1和2。您可以選擇徽標,讓自己知道哪個顯示器是1或2。3 現在設定2為主螢幕,首先選擇2。4 ...