試用4位同步二進位制加法計數器74161採用置數法構成十進位制計數器

時間 2021-09-21 07:21:38

1樓:路堯家的顧小言

使用置數法實現74161的十進位制計數:

當74161計數到q3q2q1q0=1001時,使ld' =0,為置數創造了條件。

當下一個計數脈衝一到,各置數端資料立即送到輸出端,預置數端d3d2d1d0= 0000。

電路如圖所示,在連續計數脈衝的作用下,計數器開始從0000、0001、......1000、1001迴圈計數

(8421碼十進位制計數器)。

擴充套件資料:

74161功能表:

效能特點:

1、可以直接清零(不需要cp脈衝配合),又稱「強迫置0」;

2、資料可以並行預置,但需要cp上升沿配合;

3、可進行二進位制同步計數;

4、具有進位輸出訊號,可以串接計數使用;

5、內部採用jk觸發器單元計數。

反饋預置數法的定義:

1、反饋預置數法是用譯碼電路(閘電路)檢測計數器的狀態,當計數器到達被檢測的狀態時,譯碼

電路輸出低電平或高電平),把譯碼電路的輸出反饋到m si計數器的預置數端,使預置數端出現有

效電平。

2、利用預置數端的非同步/同步預置功能,將資料輸入端所加的預置數裝入計數器,從而實現預定

模數的計數。

2樓:匿名使用者

十進位制計數器,最大數是9。利用計數9時,即1001的狀態,產生置數訊號,加到ld上,邏輯圖連線如下圖

下面是**圖,計數到最大數9時的截圖,驗證上面邏輯圖功能 的正確。

用vhdl設計4位同步二進位制加法計數器,輸入為時鐘端clk和非同步清除端clr,進位輸出端為c

library ieee use ieee.std logic 1164.all entity cnt4e is port clk,clr in std logic c out std logic q buffer integer range 0 to 15 end cnt4e architectu...

同步二進位制計數器74LS161功能表如下表所示,試分析下圖為幾進位制計數器,並說明原因

這是一個十進位制計數器。分析如下 由電路圖可以看出,74ls161具有同步置數和計數兩種功能。當輸出端q q q q 1001時,通過與非門使ld 0,74161進入同步置數階段,到下一個cp上升沿來到時,置數端訊號0000出現在輸出端,這時ld 1,74161進入計數階段,下一個狀態就是0001,...

三菱PLC計數器計數的數字怎麼用二進位制(4輸出)表示

編了個三菱fx2n程式,用mov傳送指令編的,x0為計數脈衝輸入,x1為計數器復位。y0 y3為二進位制數輸出。程式已經通過 執行。 唐百 mov c1 k1y000 k1y000表示y000 y003 4個 如果是k2y000則表示y000 y007 8個 當c1計數為1時,c1變成0001然後放...