怎樣用74LS153構成2線 4線譯碼器,要求寫出設計過程,畫出電路圖

時間 2021-10-14 22:06:09

1樓:

用2個74ls153組成

2樓:匿名使用者

library ieee;

use ieee.std_logic_1164.all;

entity mux4 is

port (i0, i1, i2, i3, a, b : in std_logic;

q : out std_logic);

end mux4;

architecture body_mux4 of mux4 is

signal muxval : integer range 7 downto 0;

begin

process(i0,i1,i2,i3,a,b)

begin

muxval <= 0;

if (a = '1') then muxval <= muxval + 1; end if;

if (b = '1') then muxval <= muxval + 2; end if;

case muxval is

when 0 => q <= i0;

when 1 => q <= i1;

when 2 => q <= i2;

when 3 => q <= i3;

when others => null;

end case;

end process;

end body_mux4;

3樓:墓碑衝浪有多浪

每日一扔開始(⊙o⊙)

一~二~三~開始!~

首先我們先把樓主圍起來0w0

然後就可以開始丟樓主了0v0!

預備備......

(??????)??

--把樓主

(╯>д<)╯?˙3˙?扔出去

(??ω??)??˙3˙?撿回來

(╯>д<)╯?˙3˙?扔出去

(??ω??)??˙3˙?撿回來

(╯>д<)╯?˙3˙?扔出去

(??ω??)??˙3˙?撿回來

(╯>д<)╯?˙3˙?扔出去

(??ω??)?撿...

∑(っ°д°;)っ臥槽不見了!大家找找!樓主呢╮(╯▽╰)╭算啦!不撿了!反正不值幾個錢!

接下來就可以去樓主家裡啦》w<

(╯*-*)╯(┻━┻(把樓主家的桌子掀了)┬—┬ノ(*-*ノ)(擺好擺好)

(╯°o°)╯(┻━┻(再掀一次)

┬—┬ノ(*-*ノ)(擺好擺好)

(╯°д°)╯(┻━┻(再特麼的掀一次)~~好啦,今天的熊孩子虐樓主時間就到這裡啦!大家回去睡覺吧xddd好像聽到樓主慘叫?

∧__∧

(●ω●)

|つ/(___

/└-(____/

 ̄ ̄ ̄ ̄ ̄ ̄

又被人打了吧,我還是睡覺吧!!

<⌒/ヽ-__

/<_/____/

 ̄ ̄ ̄ ̄ ̄ ̄

樓主快堅強的爬回來吧0w0!

我們還等著繼續圍著扔吶!

今天也來找樓主吧!

(??????)??

--不能粗心了,要認真找⊙_⊙

(′▽`)?好的!

(??ω??)??˙3˙?是這個麼?

╮(╯_╰)╭不是

(╯>д<)╯?˙3˙?丟了

(??ω??)??˙3˙?是這個麼?

╮(╯_╰)╭也不是

(╯>д<)╯?˙3˙?扔了

(??ω??)??˙3˙?是這個麼?

⊙_⊙不是……

(╯>д<)╯?˙3˙?扔出去

(??ω??)??˙3˙?是這個麼?

(≥?≤)就是這個!

哦……(╯>д<)╯?˙3˙

乃剛剛丟了啥⊙_⊙

沒什麼╮(╯_╰)╭已經扔出去了

∑(っ°д°;)っ臥槽那個是樓主吧!!!!!

4樓:匿名使用者

這個不是上學期做過了嗎?

用74ls153擴充套件成一個八選一的資料選擇器,要求寫出設計過程,畫出電路圖。求大神速給答案,重謝。。

5樓:匿名使用者

用8選一的q3控制雙四選一的ts非就可以了  順便畫了個圖

6樓:楊必宇

用8選一的q3控制雙四選一的ts非就可以,如圖所示:

資料選擇器是指經過選擇,把多個通道的資料傳送到唯一的公共資料通道上去,實現資料選擇功能的邏輯電路稱為資料選擇器。在多路資料傳送過程中,能夠根據需要將其中任意一路選出來的電路,叫做資料選擇器,也稱多路選擇器或多路開關。

怎樣用74ls153設計一個一位全加器

7樓:不吃魚的僵小魚

用74ls153設計一個一位全加器,方法如下:

1.首先根據全加器真值表,寫出和s、高位進位c1的邏輯函式:s=a⊕b⊕c0;

2.a1、a0作為兩個輸入變數即加數和被加數a、b,d0~d3作為第三個輸入變數即低位進位c0,

1y為全加器的和s,2y為全加器的高位進位c1,於是就可以令資料選擇器的輸入為:

a1=a,a0=b,1do=1d3=c0,1d1=1d2=c0反,2d0=0,2d3=1,2d1=2d2=c0,1q=s1,

2q=c1;

3.根據對應的管腳連線電路。

圖:一位全加器原理圖

8樓:周輝

根據全加器真值表,可寫出和s,高位進位co的邏輯函式。

a1a0作為兩個輸入變數,即加數和被加數a、b,d0~d3為第三個輸入變數,即低位進位ci,1y為全加器的和s,2y全加器的高位進位co,則可令資料選擇器的輸入為:a1=a,a0=b,1do=1d3=ci,1d1=1d2=ci反,2d0=0,2d3=1,2d1=2d2=ci,1q=s1,2q=co;

可以根據管腳所對應的連線電路

試用利用兩塊74ls153設計一個十六選一的資料選擇器,給出必要的設計過程

9樓:匿名使用者

74ls153是雙四選一的資料選擇器,共有8個資料輸入端,兩個資料輸出端。用兩片可以組成十六選一的資料選擇器,關鍵是要把四個資料輸出端合併成一個,才能實現16選一。用一片四輸入的或門。

4個四選一的資料選擇器用2-4線譯碼器74ls139來選片。

選擇資料的地址端為abcd,並對高兩位用74ls139譯碼實現選片。邏輯圖如下,也是**圖,16個資料輸入端用了4位的開關作為輸入資料。

74ls153,74ls138的各控制端應如何連線才能保證晶片正常工作

怎樣用74ls138實現三輸入組合邏輯電路的設計

先列出真值表,寫邏輯表示式 怎樣用74ls138實現三輸入組合邏輯電路的設計? 74ls138是3 8譯碼器,就是3個輸入!要求什麼組合邏輯電路?使用3線 8線譯碼器74ls138和閘電路設計一個組合邏輯電路,其輸出邏輯函式為 5 就醬挺好 把每個式子表示成最小項相加,輸入端就是這些最小項,輸出端就...

用74LS192構成十進位制加法計數器

anyway丶 主要是用74ls283晶片和74ls86晶片通過撥碼開關來控制高低電平作為二進位制的0和1,用普通led燈來展現高低電平狀態,高電平則燈亮,低電平則燈滅,通過2位的撥碼開關來實現加法器和減法器的轉換,經過兩組晶片後電流通過led,led燈亮,則表示為1,如果燈滅,則表示為0。另外設計...

怎樣用氧化還原配平CLO2 H2O2 NaOH NaCLO2 H2O H

紫耀星之軌跡 反應方程式都是錯的,準確的方程式為2clo2 h2o2 2naoh 2naclo2 2h2o o2,反應中clo2的氯從 4價降到 3價,做氧化劑 h2o2的氧從 1價升到0價,做還原劑。 狗狗的大衣 clo2 h2o2 naoh naclo2 h2o o2clo2中的cl是 4價轉化...